Quatech DSC-200 Network Card User Manual


 
8.3 XCLK
This is the output clock signal used by the transmitter portion of the UART. It is
generally connected to the UART's own receive clock input (RCLK). This is done by
connecting pins 3 and 6 of the jumper block. If desired, XCLK can be transmitted to an
external source over the AUXOUT line by connecting pins 5 and 6 of the jumper block.
Figure 21 shows how to select the XCLK mode.
Loopback XCLK to RCLK
Transmit XCLK on AUXOUT
CTS
AUXIN
XCLK
RTS
AUXOUT
RCLK
1
2
3
6
5
4
CTS
AUXIN
XCLK
RTS
AUXOUT
RCLK
1
2
36
5
4
Jumpers J5, J7
Figure 21 --- XCLK selection
8.4 AUXIN/AUXOUT Loopback
The AUXIN signal is an input from the external device, and connecting it to the
AUXOUT signal provides for a loopback mode of operation. In other words, whatever
signal is transmitted by the external device over the AUXIN line will be fed back to the
external device over the AUXOUT line. This mode is accomplished by connecting pins
2 and 5 of the jumper block. Figure 22 shows how to select this loopback mode.
Loopback AUXOUT to AUXIN
CTS
AUXIN
XCLK
RTS
AUXOUT
RCLK
1
2
36
5
4
Jumpers J5, J7
Figure 22 --- AUXIN/AUXOUT loopback
Quatech DSC
-200/300 User
'
s Manual
31